HDL 시뮬레이터 목록

List of HDL simulators

HDL 시뮬레이터VHDL, Verilog, SystemVerilog 등의 하드웨어 기술 언어 중 하나로 작성된 식을 시뮬레이션하는 소프트웨어 패키지입니다.

이 페이지는 현재 및 과거의 HDL 시뮬레이터, 액셀러레이터, 에뮬레이터 등을 나열하는 것을 목적으로 합니다.

독자적인 시뮬레이터

HDL 시뮬레이터의 이름순 알파벳순 목록
시뮬레이터명 저자/회사 언어들 묘사
Active-HDL/Riviera-PRO 알덱 VHDL-1987,-1993,-2002,-2008,-2019 V1995, V2001, V2005, SV2009, SV2012, SV2017 FPGA 어플리케이션을 위한 완전한 설계 환경을 갖춘 시뮬레이터.Aldec은 FPGA 벤더인 Ratis Semiconductor에 Active-HDL 라이센스를 부여하고 있으며, 기본 엔진은 Ratis의 설계 스위트에서 찾을 수 있습니다.액티브한 동안HDL은 저비용 제품이며, Aldec은 "Riviera-PRO"라고 불리는 보다 고가의 고성능 시뮬레이터도 제공합니다.고급 디버깅 기능을 통해 Assertion 기반 검증 및 UVM 등의 고급 검증 방법을 사용하여 대규모 FPGA 및 ASIC 디바이스를 검증하는 것을 목적으로 하며, 가장 많은 기능을 갖추고 있으며 VHDL-2008 구현 및 VHDL-2019 기능을 최초로 제공합니다.
Aeolus-DS Huada Empyran Software Co.,유한회사 V2001 Aeolus-DS는 혼합 신호 회로를 시뮬레이션하도록 설계된 Aeolus 시뮬레이터의 일부입니다.Aeolus-DS는 순수 Verilog 시뮬레이션을 지원합니다.
모건 CVC 타치온 설계 자동화 V2001, V2005 CVC는 Verilog HDL 컴파일 시뮬레이터입니다.CVC에는 인터프리터 모드 또는 컴파일모드로 시뮬레이트 할 수 있습니다.
하이로 테라딘 1980년대에 사용.
예리한 엔터프라이즈 시뮬레이터('big 3') 케이던스 설계 시스템 VHDL-1987,-1993,-2002,-2008, V2001, SV2005, SV2009, SV2012, SV2017 Cadence는 처음에 Gateway Design을 취득하여 Verilog-XL을 취득했습니다.더 빠른 시뮬레이터와의 경쟁에 대응하여 Cadence는 자체 컴파일 언어 시뮬레이터 NC-Verilog를 개발했습니다.NCSim 패밀리의 최신 버전(Inciultive Enterprise Simulator)에는 Verilog, VHDL 및 SystemVerilog 지원이 포함되어 있습니다.또한 e 검증 언어 및 고속 SystemC 시뮬레이션 커널도 지원합니다.
ISE 시뮬레이터 시린스 VHDL-93, V2001 Xilinx의 시뮬레이터는 ISE Design Suite와 함께 제공됩니다.ISE 시뮬레이터(ISIM)는 Xilinx의 FPGA 및 CPLD를 대상으로 한 설계 시뮬레이션을 포함하지만 이에 한정되지 않는 혼합 모드 언어 시뮬레이션을 지원합니다.
메트릭스 클라우드 시뮬레이터 메트릭스 테크놀로지 SV2012 메트릭스 클라우드 플랫폼에서 사용되는 SystemVerilog 시뮬레이터입니다.디버깅, API, 언어 및 테스트벤치 지원 등 최신 SystemVerilog 시뮬레이터의 모든 표준 기능을 포함합니다.
Model SimQuesta('빅3') 멘토 그래픽스 VHDL-1987,-1993,-2002,-2008, V2001, SV2005, SV2009, SV2012, SV2017 원래의 Modeltech(VHDL) 시뮬레이터는 VHDL과 Verilog 설계 엔티티를 함께 시뮬레이션할 수 있는 최초의 혼합 언어 시뮬레이터였습니다.2003년에 ModelSim 5.8은 Accellera SystemVerilog 3.0 [1]표준의 기능을 지원하기 시작한 최초의 시뮬레이터였습니다.2005년 Mento는 Questa를 도입하여 고성능 Verilog 및 System Verilog 시뮬레이션을 제공하고 검증 기능을 Assertion Based Verification 및 Functional Coverage 등의 고급 방법론으로 확장했습니다.오늘날 Questa는 업계 표준 OVM 및 UVM을 포함한 모든 방법론을 지원하는 최고의 고성능 SystemVerilog 및 Mixed 시뮬레이터입니다. ModelSim은 여전히 FPGA 설계의 선도적인 시뮬레이터입니다.
MPSim Axiom 설계 자동화 V2001, V2005, SV2005, SV2009 MPsim은 Verilog, SystemVerilog 및 SystemC를 완전히 지원하는 고속 컴파일 시뮬레이터입니다.여기에는 Designer, 통합 Verilog 및 System Verilog 디버깅 환경이 포함되어 있으며 멀티 CPU 시뮬레이션을 지원하는 기능이 내장되어 있습니다.
Pure Speed(순수 속도) 최전선 V1995 Windows OS에서 사용할 수 있는 최초의 Verilog 시뮬레이터.이 시뮬레이터에는 'CycleDrive'라고 불리는 사이클 기반 부품이 있었다.FrontLine은 1998년에 Avant!에 매각되었고, 이후 2002년에 Synopsys에 인수되었습니다.Synopsys는 잘 설정된 VCS 시뮬레이터를 위해 Purespeed를 중단했습니다.
Quartus II 시뮬레이터(Qsim) 알테라 VHDL-1993, V2001, SV2005 Altera의 시뮬레이터는 Quartus II 설계 소프트웨어와 함께 릴리즈 11.1 이후 번들되었습니다.Verilog, VHDL 및 AHDL 지원
사일로 실바코 IEEE-1364-2001 저렴한 가격의 Verilog 시뮬레이터 중 하나인 SimuCad의 Silo III는 1990년대에 큰 인기를 누렸습니다.Silvaco가 SimuCad를 인수함에 따라 Silvaco EDA 툴 제품군의 일부가 되었습니다.
SIMILI VHDL 심포니 EDA VHDL-1993 그래픽 사용자 인터페이스와 통합 파형 뷰어를 갖춘 또 다른 저비용 VHDL 시뮬레이터.그들의 웹사이트는 꽤 오랫동안 업데이트되지 않았다.더 이상 소프트웨어를 구입할 수 없습니다.무료 버전은 작동하지만 이메일로 라이센스를 요청해야 합니다.
스매시 돌핀 통합 V1995, V2001, VHDL-1993 SMASH는 IC 또는 PCB 설계를 위한 혼합 신호, 다국어 시뮬레이터입니다.아날로그 설명에는 SPICE 구문, 디지털 설명에는 Verilog-HDL 및 VHDL, 아날로그 동작에는 Verilog-A/AMS, VHDL-AMS 및 ABCD(SPICE와 C의 조합), DSP 알고리즘에는 C를 사용합니다.
스피드심 케이던스 설계 시스템 V1995 사이클 기반 시뮬레이터는 원래 DEC에서 개발되었습니다.DEC 개발자들은 Quickturn Design Systems를 구성하기 위해 분사했습니다.Quickturn은 이후 2005년에 이 제품을 단종한 Cadence에 의해 인수되었다.Speedsim은 최대 32개의 테스트를 병렬로 시뮬레이션하는 혁신적인 슬롯 비트슬라이스 아키텍처를 특징으로 했습니다.
슈퍼핀심 핀트로닉 V2001 이 시뮬레이터는 IEEE 1364-2001에 준거하고 있는 멀티플랫폼에서 사용할 수 있습니다.
TEGAS / Texsim TEGAS/CALMA/GE TDL(테가스 설계 언어) LSI Logic, GE 등의 ASIC 벤더가 1980년대에 사용한 1972년 논문에서 처음 설명되었습니다.
VCS('빅3') Synopsys VHDL-1987,-1993,-2002,-2008, V2001, SV2005, SV2009, SV2012, SV2017 원래는 John Sanguinetti, Peter Eichenberger 및 Michael McNamara가 스타트업 회사인 Chronological Simulation에서 개발했으며, 이 회사는 1994년에 View Logic Systems에 인수되었습니다.View Logic은 이후 1997년에 Synopsys에 인수되었습니다.VCS는 지속적으로 개발 중이며 컴파일 코드 시뮬레이션, 기본 테스트벤치 및 SystemVerilog 지원, 유니파이드 컴파일러 기술을 개척했습니다.현재 VCS는 모든 기능 검증 방법론 및 언어(VHDL, Verilog, SystemVerilog, Verilog AMS, SystemC, C/C++ 포함)와 기본 저전력, x-propagation, 도달 불가능 분석 및 세분화된 병렬화를 비롯한 고급 시뮬레이션 기술을 포괄적으로 지원합니다.
Verilogger Extreme, Verilogger Pro SynaptiCAD V2001, V1995 Verilogger Pro는 엘리엇 메드닉의 VeriWell 코드 베이스에 기반한 저가 해석 시뮬레이터입니다.Verilogger Extreme은 Verilog-2001과 호환되며 Pro보다 훨씬 빠른 최신 컴파일 코드 시뮬레이터입니다.
Verilog-XL 케이던스 설계 시스템 V1995 오리지널 Verilog 시뮬레이터인 게이트웨이 디자인의 Verilog-XL은 ASIC(검증) 사인오프에 적합한 최초의 (한때만) Verilog 시뮬레이터였습니다.Cadence Design Systems에 인수된 후, Verilog-XL은 수년간 거의 변경되지 않았으며, 통역 언어 엔진을 유지하고 Verilog-1995에서 언어 지원을 중단했습니다.Cadence는 XL이 더 이상 활발한 개발을 받지 않기 때문에 새로운 설계 프로젝트에 Inciultive Enterprise Simulator를 추천합니다.그럼에도 불구하고 XL은 레거시 Verilog의 대규모 코드베이스를 보유한 기업에서 계속 사용되고 있습니다.많은 초기 Verilog 코드베이스는 다른 시뮬레이터의 언어 구현이 다르기 때문에 Verilog-XL에서만 적절하게 시뮬레이션됩니다.
베리탁 스가와라 시스템즈 V2001 저비용 Windows 기반입니다.내장 파형 뷰어와 빠른 실행 속도를 자랑합니다.
Xinx 시뮬레이터(XSIM) 시린스 VHDL-1993, 2002, 2008 V2001, V2005, SV2009, SV2012, SV2017 XSIM(Xilinx Simulator)은 Vivado 디자인 스위트의 일부로 제공됩니다.Verilog, SystemVerilog, VHDL 및 SystemC 언어를 사용한 혼합 언어 시뮬레이터입니다.스텝 스루 코드, 브레이크 포인트, 크로스 프로빙, 값 프로브, 콜 스택, 로컬 변수 창 등의 표준 디버깅툴을 지원합니다.Xilinx Simulator의 파형 뷰어는 가상 버스, 신호 그룹화, 아날로그 뷰 및 프로토콜 표시 기능을 지원합니다.또한 고급 검증을 위한 UVM 1.2 및 기능 범위도 지원합니다.TCL 스크립트를 통해 GUI 모드와 배치모드를 모두 지원하며 암호화된IP 시뮬레이션을 할 수 있습니다.Xilinx Simulator는 SystemVerilog Direct Programming Interface(DPI)와 Xilinx Simulator Interface(XSI)를 지원하여 C/C++ 모델을 Xilinx Simulator와 연결합니다.
Z01X Winter Logic (Synopsys 2016에 인수) V2001, SV2005 고장 시뮬레이터로 개발되었지만 논리 시뮬레이터로도 사용할 수 있습니다.

ModelSim과 같은 일부 상업용 시뮬레이터는 학생용 또는 평가판/데모 에디션으로 제공됩니다.이러한 에디션에는 일반적으로 많은 기능이 비활성화되어 시뮬레이션 설계 크기에 대한 임의 제한이 있지만, 때로는 무료로 제공됩니다.

프리 소스 및 오픈 소스 시뮬레이터

베릴로그 시뮬레이터

Verilog 시뮬레이터의 알파벳순 목록
시뮬레이터명 면허증. 저자/회사 지원되는 언어 묘사
캐스케이드 BSD VMware 리서치 V2005(라지 서브셋) Just-in-Time Verilog 시뮬레이터 및 FPGA용 컴파일러로 하드웨어 상에서 신시사이즈 가능 및 비동기화 가능 Verilog를 즉시 실행할 수 있습니다.
GPL Cver GPL 프랙티시 C 소프트웨어 V1995, 최소 V2001 이것은 GPL 오픈 소스 시뮬레이터입니다.그것은 순수한 시뮬레이터이다.이 시뮬레이터는 IEEE 1364-2001에 완전히 준거하고 있지 않습니다.생성 및 상수 함수를 지원하지 않습니다.
이카루스 베릴로그 GPL2+ 스티븐 윌리엄스 V1995, V2001, V2005, SV2009/SV2012 한정 iverilog라고도 합니다.Verilog 2005에 대한 적절한 지원(문 생성 및 상수 함수 포함)
Isotel 혼합 신호 및 도메인 시뮬레이션 GPL ngspice, Yosys 커뮤니티 및 Isotel V2005 임베디드 C/C++(또는 기타) 동시 시뮬레이션을 위한 Yosys 및 Isotel 확장이라고 하는 verilog 합성 소프트웨어와 결합된 오픈 소스 혼합 신호 ngspice 시뮬레이터.
들어올리다 A. Bosio, G. Di Natale(LIRMM) V1995 LIRMM Fault Simulator(LIRMM Fault Simulator)는 Verilog에 설명된 디지털 회로에서 단일/복수 고착 고장 및 단일 이벤트 업라이트(SEU)에 대한 로직 및 고장 시뮬레이션을 모두 수행할 수 있는 오픈 소스 시뮬레이터입니다.
OSS CVC Perl 스타일의 아티스틱 라이선스 타치온 설계 자동화 V2001, V2005 CVC는 Verilog HDL 컴파일 시뮬레이터입니다.CVC에는 인터프리터 모드 또는 컴파일모드로 시뮬레이트 할 수 있습니다.소스 코드는 Perl 스타일의 아티스틱 라이선스로 사용할 수 있습니다.
TkGate GPL2+ 제프리 P.한센인 V1995 Verilog 기반의 tcl/tk GUI를 갖춘 이벤트 구동 디지털 회선 에디터 및 시뮬레이터.Verilog 시뮬레이터 Verga를 포함합니다.
베릴레이터 GPL3 베리풀 V1995, V2001, V2005, SV2005, SV2009, SV2012, SV2017 Verilator는 Verilog를 멀티스레드 C++/SystemC로 컴파일하는 초고속 오픈소스 시뮬레이터입니다.Verilator는 동작 Verilog 및 # 연산자와 @ 연산자를 사용한 작업을 지원하지 않기 때문에 이전에는 테스트벤치 코드를 합성 가능한 RTL 또는 C++ 또는 SystemC 테스트벤치로 작성해야 했습니다.이러한 지원은 실험적으로 2020년 중반 출시될 때까지 사용할 수 있습니다.
Verilog 행동 시뮬레이터(VBS) GPL 레이 H.토와 지멘칭 V1995 기능, 작업 및 모듈 인스턴스화를 지원합니다.이 릴리스에는 몇 가지 기능이 있지만 VLSI 학생이 Verilog를 사용하여 학습하기에 충분한 기능이 있습니다.Verilog의 동작 구성 및 'initial' 문과 같은 최소 시뮬레이션 구성만 지원합니다.
베리웰 GPL2 엘리엇 메드닉 V1995 이 시뮬레이터는 이전에는 독점적이었지만 최근에는 GPL 오픈 소스가 되었습니다.1364에 대한 준거가 제대로 문서화되어 있지 않습니다.IEEE 1364-1995에는 완전히 준거하고 있지 않습니다.

VHDL 시뮬레이터

VHDL 시뮬레이터의 알파벳 순서 목록
시뮬레이터명 면허증. 저자/회사 지원되는 언어 묘사
프리HDL GPL2+ VHDL-1987, VHDL-1993 무료 오픈 소스 VHDL 시뮬레이터 개발 프로젝트
GHDL GPL2+ 트리스탄 깅골드 VHDL-1987, VHDL-1993, VHDL-2002, 부분 VHDL-2008 GHDL은 GCC 기술을 사용하는 완전한 VHDL 시뮬레이터입니다.
이카루스 베릴로그 GPL2+ 마시에즈 수민스키와 스티븐 윌리엄스 VHDL을 Verilog로 변환하는 VHDL 프리프로세서 추가
NVC GPL-3.0 이후 Nick Gasson과 기부자 IEEE 1076-2002, VHDL-1993, VHDL-2008 서브셋 NVC는 IEEE 1076-2002 준거를 목표로 하는 GPLv3 VHDL 컴파일러 및 시뮬레이터입니다.Linux, macOS, Windows(Cygwin 또는 MSYS2 경유) 및 OpenBSD의 다양한 배포판에서 사용할 수 있습니다.

열쇠

태그 묘사
V1995 IEEE 1364-1995 베리로그
V2001 IEEE 1364-2001 Verilog
V2005 IEEE 1364-2005 Verilog
SV2005 IEEE 1800-2005 SystemVerilog
SV2009 IEEE 1800-2009 SystemVerilog
SV2012 IEEE 1800-2012 SystemVerilog
SV2017 IEEE 1800-2017 SystemVerilog
VHDL-1987 IEEE 1076-1987 VHDL
VHDL-1993 IEEE 1076-1993 VHDL
VHDL-2002 IEEE 1076-2002 VHDL
VHDL-2008 IEEE 1076-2008 VHDL
VHDL-2019 IEEE 1076-2019 VHDL

「 」를 참조해 주세요.

레퍼런스